GW1622>APRS,TCPXX*,qAX,CWOP-3:@181605z3257.57N/11715.38W_000/000g002t065r000p000P000h76b10131L174.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@181610z3257.57N/11715.38W_000/000g003t065r000p000P000h75b10131L200.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@181615z3257.57N/11715.38W_000/000g003t065r000p000P000h75b10131L262.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@181620z3257.57N/11715.38W_000/000g003t066r000p000P000h74b10130L315.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@181625z3257.57N/11715.38W_000/000g003t067r000p000P000h73b10131L258.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@181630z3257.57N/11715.38W_000/000g003t067r000p000P000h73b10131L394.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@181635z3257.57N/11715.38W_352/000g003t067r000p000P000h72b10133L334.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@181640z3257.57N/11715.38W_000/000g003t067r000p000P000h72b10132L274.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@181645z3257.57N/11715.38W_126/000g003t068r000p000P000h72b10133L230.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@181650z3257.57N/11715.38W_000/001g005t067r000p000P000h71b10133L195.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@181655z3257.57N/11715.38W_089/000g005t067r000p000P000h72b10133L181.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@181700z3257.57N/11715.38W_139/000g003t067r000p000P000h72b10133L155.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@181705z3257.57N/11715.38W_000/000g003t067r000p000P000h73b10132L162.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@181710z3257.57N/11715.38W_000/000g002t067r000p000P000h72b10133L165.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@181715z3257.57N/11715.38W_000/000g002t067r000p000P000h73b10132L202.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@181720z3257.57N/11715.38W_000/000g002t067r000p000P000h74b10132L244.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@181725z3257.57N/11715.38W_000/000g002t067r000p000P000h74b10132L262.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@181730z3257.57N/11715.38W_093/000g002t067r000p000P000h74b10132L276.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@181735z3257.57N/11715.38W_000/001g003t067r000p000P000h75b10132L285.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@181740z3257.57N/11715.38W_109/000g003t068r000p000P000h75b10131L272.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@181745z3257.57N/11715.38W_076/000g003t068r000p000P000h74b10132L299.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@181750z3257.57N/11715.38W_000/000g003t068r000p000P000h75b10132L374.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@181755z3257.57N/11715.38W_059/000g003t068r000p000P000h76b10132L352.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@181800z3257.57N/11715.38W_081/000g004t068r000p000P000h77b10132L385.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@181805z3257.57N/11715.38W_211/000g004t068r000p000P000h77b10132L441.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@181810z3257.57N/11715.38W_077/001g003t068r000p000P000h76b10130L417.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@181815z3257.57N/11715.38W_105/001g004t069r000p000P000h76b10129L469.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@181820z3257.57N/11715.38W_000/000g004t069r000p000P000h77b10127L452.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@181825z3257.57N/11715.38W_122/001g004t069r000p000P000h76b10127L487.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@181830z3257.57N/11715.38W_070/001g004t069r000p000P000h76b10127L536.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@181835z3257.57N/11715.38W_142/001g007t070r000p000P000h75b10127L666.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@181840z3257.57N/11715.38W_058/001g007t071r000p000P000h74b10126L696.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@181845z3257.57N/11715.38W_071/001g006t071r000p000P000h73b10127L758.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@181850z3257.57N/11715.38W_058/001g006t071r000p000P000h74b10127L638.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@181855z3257.57N/11715.38W_088/001g004t072r000p000P000h73b10127L784.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@181900z3257.57N/11715.38W_081/002g004t072r000p000P000h71b10126L564.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@181905z3257.57N/11715.38W_071/001g004t071r000p000P000h73b10125L476.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@181910z3257.57N/11715.38W_010/001g003t072r000p000P000h74b10125L754.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@181915z3257.57N/11715.38W_336/002g008t072r000p000P000h70b10126L861.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@181920z3257.57N/11715.38W_120/001g008t072r000p000P000h71b10124L926.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@181925z3257.57N/11715.38W_069/002g004t072r000p000P000h72b10124L796.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@181930z3257.57N/11715.38W_078/001g004t071r000p000P000h72b10124L838.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@181935z3257.57N/11715.38W_079/002g008t072r000p000P000h72b10124L833.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@181940z3257.57N/11715.38W_074/001g008t072r000p000P000h71b10124L831.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@181945z3257.57N/11715.38W_032/001g004t072r000p000P000h70b10123L809.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@181950z3257.57N/11715.38W_085/001g006t072r000p000P000h71b10123L835.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@181955z3257.57N/11715.38W_009/001g006t072r000p000P000h72b10123L842.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@182000z3257.57N/11715.38W_078/001g004t073r000p000P000h69b10122L828.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182005z3257.57N/11715.38W_083/001g004t074r000p000P000h68b10123L823.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@182010z3257.57N/11715.38W_058/001g006t072r000p000P000h70b10122L831.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182015z3257.57N/11715.38W_080/001g006t074r000p000P000h69b10122L823.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@182020z3257.57N/11715.38W_304/001g005t073r000p000P000h69b10121L916.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@182025z3257.57N/11715.38W_198/001g004t073r000p000P000h69b10121L840.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@182030z3257.57N/11715.38W_000/001g007t073r000p000P000h70b10119L810.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@182035z3257.57N/11715.38W_094/001g007t072r000p000P000h69b10119L821.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@182040z3257.57N/11715.38W_184/002g007t072r000p000P000h70b10118L812.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182045z3257.57N/11715.38W_044/001g007t073r000p000P000h69b10118L821.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@182050z3257.57N/11715.38W_039/000g004t073r000p000P000h69b10118L826.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@182055z3257.57N/11715.38W_070/001g006t073r000p000P000h69b10117L796.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182100z3257.57N/11715.38W_048/001g006t073r000p000P000h69b10118L360.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182105z3257.57N/11715.38W_045/001g006t073r000p000P000h68b10118L853.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@182110z3257.57N/11715.38W_000/000g007t073r000p000P000h68b10118L615.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@182115z3257.57N/11715.38W_092/001g007t073r000p000P000h70b10117L679.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@182120z3257.57N/11715.38W_091/001g004t073r000p000P000h69b10117L828.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182125z3257.57N/11715.38W_270/001g006t072r000p000P000h69b10118L322.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@182130z3257.57N/11715.38W_286/001g006t071r000p000P000h70b10118L332.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182135z3257.57N/11715.38W_294/001g005t070r000p000P000h73b10117L315.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@182140z3257.57N/11715.38W_000/001g005t071r000p000P000h71b10116L304.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@182145z3257.57N/11715.38W_063/000g004t071r000p000P000h73b10117L202.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182150z3257.57N/11715.38W_000/000g004t070r000p000P000h72b10117L239.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@182155z3257.57N/11715.38W_304/000g004t070r000p000P000h73b10116L218.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@182200z3257.57N/11715.38W_323/001g004t069r000p000P000h74b10117L225.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182205z3257.57N/11715.38W_294/001g004t069r000p000P000h74b10117L221.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@182210z3257.57N/11715.38W_337/000g004t069r000p000P000h75b10116L281.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@182215z3257.57N/11715.38W_075/000g004t069r000p000P000h74b10116L156.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@182220z3257.57N/11715.38W_327/001g004t069r000p000P000h75b10115L176.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182225z3257.57N/11715.38W_055/000g004t069r000p000P000h75b10115L318.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@182230z3257.57N/11715.38W_059/000g007t069r000p000P000h75b10115L190.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@182235z3257.57N/11715.38W_025/000g007t069r000p000P000h75b10114L160.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@182240z3257.57N/11715.38W_059/000g004t068r000p000P000h75b10114L121.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@182245z3257.57N/11715.38W_005/000g004t068r000p000P000h75b10114L128.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@182250z3257.57N/11715.38W_054/001g004t068r000p000P000h75b10114L128.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182255z3257.57N/11715.38W_078/000g005t068r000p000P000h76b10114L104.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@182300z3257.57N/11715.38W_000/000g005t067r000p000P000h76b10114L098.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@182305z3257.57N/11715.38W_037/000g003t068r000p000P000h76b10113L097.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182310z3257.57N/11715.38W_306/000g003t068r000p000P000h76b10114L098.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182315z3257.57N/11715.38W_000/000g003t068r000p000P000h76b10112L107.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@182320z3257.57N/11715.38W_000/000g003t067r000p000P000h76b10112L090.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@182325z3257.57N/11715.38W_000/000g003t067r000p000P000h77b10112L084.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@182330z3257.57N/11715.38W_000/000g003t067r000p000P000h77b10113L100.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@182335z3257.57N/11715.38W_000/000g002t067r000p000P000h77b10112L111.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@182340z3257.57N/11715.38W_000/000g003t067r000p000P000h77b10112L095.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@182345z3257.57N/11715.38W_000/000g003t067r000p000P000h78b10113L069.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@182350z3257.57N/11715.38W_000/000g003t067r000p000P000h78b10113L069.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@182355z3257.57N/11715.38W_000/000g003t067r000p000P000h78b10114L070.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190000z3257.57N/11715.38W_000/000g003t067r000p000P000h78b10113L069.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190005z3257.57N/11715.38W_000/000g003t066r000p000P000h78b10112L083.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190010z3257.57N/11715.38W_000/000g003t067r000p000P000h78b10114L088.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190015z3257.57N/11715.38W_000/000g003t067r000p000P000h78b10114L072.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190020z3257.57N/11715.38W_000/000g003t066r000p000P000h78b10113L054.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190025z3257.57N/11715.38W_313/000g003t066r000p000P000h78b10115L046.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190030z3257.57N/11715.38W_000/000g003t066r000p000P000h78b10114L042.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190035z3257.57N/11715.38W_000/000g003t066r000p000P000h79b10115L042.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190040z3257.57N/11715.38W_000/000g002t066r000p000P000h78b10115L039.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190045z3257.57N/11715.38W_098/000g002t066r000p000P000h79b10114L030.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190050z3257.57N/11715.38W_000/000g002t066r000p000P000h79b10114L030.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190055z3257.57N/11715.38W_036/000g002t066r000p000P000h79b10114L028.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190100z3257.57N/11715.38W_000/000g001t066r000p000P000h79b10114L025.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190105z3257.57N/11715.38W_000/000g003t065r000p000P000h79b10114L019.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190110z3257.57N/11715.38W_000/000g003t065r000p000P000h79b10115L014.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190115z3257.57N/11715.38W_000/000g001t065r000p000P000h79b10114L014.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190120z3257.57N/11715.38W_307/000g002t065r000p000P000h79b10113L012.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190125z3257.57N/11715.38W_000/000g002t065r000p000P000h80b10114L009.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190130z3257.57N/11715.38W_000/000g002t065r000p000P000h80b10114L007.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190135z3257.57N/11715.38W_000/000g002t065r000p000P000h80b10113L007.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190140z3257.57N/11715.38W_000/000g002t065r000p000P000h80b10114L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190145z3257.57N/11715.38W_000/000g001t065r000p000P000h80b10114L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190150z3257.57N/11715.38W_000/000g002t065r000p000P000h80b10115L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190155z3257.57N/11715.38W_000/000g002t065r000p000P000h80b10113L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190200z3257.57N/11715.38W_000/000g001t065r000p000P000h80b10113L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190205z3257.57N/11715.38W_000/000g001t065r000p000P000h80b10113L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190210z3257.57N/11715.38W_000/000g001t065r000p000P000h80b10113L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190215z3257.57N/11715.38W_000/000g001t065r000p000P000h80b10113L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190220z3257.57N/11715.38W_000/000g001t065r000p000P000h80b10113L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190225z3257.57N/11715.38W_000/000g001t065r000p000P000h80b10113L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190230z3257.57N/11715.38W_000/000g001t065r000p000P000h80b10115L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190235z3257.57N/11715.38W_000/000g001t065r000p000P000h80b10115L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190240z3257.57N/11715.38W_000/000g001t065r000p000P000h80b10114L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190245z3257.57N/11715.38W_000/000g001t065r000p000P000h80b10114L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190250z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10114L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190255z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10113L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190300z3257.57N/11715.38W_000/000g000t064r000p000P000h81b10114L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190305z3257.57N/11715.38W_000/000g000t063r000p000P000h81b10114L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190310z3257.57N/11715.38W_000/000g000t063r000p000P000h82b10114L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190315z3257.57N/11715.38W_000/000g000t063r000p000P000h82b10114L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190320z3257.57N/11715.38W_000/000g000t063r000p000P000h82b10115L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190325z3257.57N/11715.38W_000/000g000t063r000p000P000h83b10115L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190330z3257.57N/11715.38W_000/000g001t063r000p000P000h83b10115L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190335z3257.57N/11715.38W_000/000g001t063r000p000P000h83b10115L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190340z3257.57N/11715.38W_000/000g000t063r000p000P000h83b10116L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190345z3257.57N/11715.38W_000/000g000t063r000p000P000h83b10116L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190350z3257.57N/11715.38W_000/000g000t063r000p000P000h83b10116L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190355z3257.57N/11715.38W_000/000g000t064r000p000P000h83b10117L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190400z3257.57N/11715.38W_000/000g000t064r000p000P000h83b10118L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190405z3257.57N/11715.38W_000/000g000t064r000p000P000h83b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190410z3257.57N/11715.38W_000/000g000t064r000p000P000h82b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190415z3257.57N/11715.38W_000/000g000t064r000p000P000h82b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190420z3257.57N/11715.38W_000/000g000t064r000p000P000h82b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190425z3257.57N/11715.38W_000/000g001t064r000p000P000h82b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190430z3257.57N/11715.38W_000/000g001t064r000p000P000h82b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190435z3257.57N/11715.38W_000/000g000t064r000p000P000h82b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190440z3257.57N/11715.38W_000/000g001t064r000p000P000h82b10117L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190445z3257.57N/11715.38W_000/000g001t065r000p000P000h82b10117L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190450z3257.57N/11715.38W_000/000g001t065r000p000P000h82b10118L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190455z3257.57N/11715.38W_000/000g000t065r000p000P000h82b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190500z3257.57N/11715.38W_000/000g000t065r000p000P000h82b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190505z3257.57N/11715.38W_292/000g001t065r000p000P000h81b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190510z3257.57N/11715.38W_175/000g002t065r000p000P000h81b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190515z3257.57N/11715.38W_176/000g002t065r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190520z3257.57N/11715.38W_000/000g002t065r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190525z3257.57N/11715.38W_163/000g003t065r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190530z3257.57N/11715.38W_000/000g003t065r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190535z3257.57N/11715.38W_000/000g002t065r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190540z3257.57N/11715.38W_000/000g002t065r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190545z3257.57N/11715.38W_000/000g002t065r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190550z3257.57N/11715.38W_000/000g001t065r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190555z3257.57N/11715.38W_164/000g001t065r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190600z3257.57N/11715.38W_000/000g002t064r000p000P000h79b10118L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190605z3257.57N/11715.38W_000/000g002t064r000p000P000h79b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190610z3257.57N/11715.38W_000/000g002t065r000p000P000h78b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190615z3257.57N/11715.38W_000/000g002t065r000p000P000h78b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190620z3257.57N/11715.38W_000/000g002t065r000p000P000h78b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190625z3257.57N/11715.38W_000/000g002t065r000p000P000h77b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190630z3257.57N/11715.38W_000/000g001t064r000p000P000h77b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190635z3257.57N/11715.38W_000/000g001t064r000p000P000h77b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190640z3257.57N/11715.38W_000/000g001t064r000p000P000h77b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190645z3257.57N/11715.38W_000/000g001t065r000p000P000h77b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190650z3257.57N/11715.38W_185/000g001t065r000p000P000h77b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190655z3257.57N/11715.38W_000/000g001t065r000p000P000h77b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190700z3257.57N/11715.38W_185/000g001t065r000p000P000h76b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190705z3257.57N/11715.38W_000/000g001t065r000p000P000h76b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190710z3257.57N/11715.38W_000/000g001t065r000p000P000h76b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190715z3257.57N/11715.38W_000/000g001t065r000p000P000h76b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190720z3257.57N/11715.38W_000/000g001t065r000p000P000h76b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190725z3257.57N/11715.38W_000/000g000t065r000p000P000h76b10122L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190730z3257.57N/11715.38W_000/000g000t065r000p000P000h76b10122L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190735z3257.57N/11715.38W_000/000g002t065r000p000P000h76b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190740z3257.57N/11715.38W_000/000g002t065r000p000P000h76b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190745z3257.57N/11715.38W_000/000g002t065r000p000P000h77b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190750z3257.57N/11715.38W_000/000g000t065r000p000P000h77b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190755z3257.57N/11715.38W_000/000g001t064r000p000P000h77b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190800z3257.57N/11715.38W_000/000g001t065r000p000P000h78b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190805z3257.57N/11715.38W_000/000g001t065r000p000P000h78b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190810z3257.57N/11715.38W_000/000g001t064r000p000P000h79b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190815z3257.57N/11715.38W_000/000g001t064r000p000P000h79b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190820z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190825z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190830z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190835z3257.57N/11715.38W_185/000g001t064r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190840z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190845z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190850z3257.57N/11715.38W_000/000g002t064r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190855z3257.57N/11715.38W_000/000g002t064r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190900z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190905z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@190910z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190915z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190920z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190925z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190930z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@190935z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190940z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@190945z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@190950z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@190955z3257.57N/11715.38W_000/000g002t064r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@191000z3257.57N/11715.38W_000/000g002t064r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@191005z3257.57N/11715.38W_000/000g002t064r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191010z3257.57N/11715.38W_000/000g002t064r000p000P000h80b10118L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191015z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191020z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10118L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191025z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191030z3257.57N/11715.38W_132/000g001t064r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191035z3257.57N/11715.38W_000/000g003t064r000p000P000h80b10118L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@191040z3257.57N/11715.38W_000/000g003t064r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@191045z3257.57N/11715.38W_000/000g002t064r000p000P000h79b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191050z3257.57N/11715.38W_000/000g002t064r000p000P000h79b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191055z3257.57N/11715.38W_000/000g001t064r000p000P000h79b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191100z3257.57N/11715.38W_000/000g001t064r000p000P000h79b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@191105z3257.57N/11715.38W_000/000g001t064r000p000P000h79b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@191110z3257.57N/11715.38W_000/000g001t064r000p000P000h79b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191115z3257.57N/11715.38W_000/000g001t064r000p000P000h79b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@191120z3257.57N/11715.38W_000/000g001t064r000p000P000h79b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191125z3257.57N/11715.38W_000/000g000t064r000p000P000h79b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191130z3257.57N/11715.38W_000/000g000t064r000p000P000h79b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191135z3257.57N/11715.38W_000/000g000t064r000p000P000h80b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191140z3257.57N/11715.38W_000/000g000t064r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191145z3257.57N/11715.38W_000/000g000t064r000p000P000h80b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191150z3257.57N/11715.38W_000/000g000t064r000p000P000h80b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191155z3257.57N/11715.38W_000/000g000t064r000p000P000h80b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@191200z3257.57N/11715.38W_000/000g000t064r000p000P000h80b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191205z3257.57N/11715.38W_000/000g000t064r000p000P000h80b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191210z3257.57N/11715.38W_000/000g001t064r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@191215z3257.57N/11715.38W_000/000g002t064r000p000P000h80b10118L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@191220z3257.57N/11715.38W_000/000g002t064r000p000P000h80b10119L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191225z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191230z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191235z3257.57N/11715.38W_199/000g001t064r000p000P000h81b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191240z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191245z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191250z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10120L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@191255z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10121L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@191300z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10122L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191305z3257.57N/11715.38W_000/000g001t063r000p000P000h81b10122L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191310z3257.57N/11715.38W_000/000g001t063r000p000P000h81b10123L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191315z3257.57N/11715.38W_000/000g001t063r000p000P000h81b10123L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@191320z3257.57N/11715.38W_000/000g001t063r000p000P000h81b10124L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191325z3257.57N/11715.38W_000/000g001t063r000p000P000h81b10124L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@191330z3257.57N/11715.38W_000/000g001t063r000p000P000h81b10124L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@191335z3257.57N/11715.38W_000/000g001t063r000p000P000h81b10124L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191340z3257.57N/11715.38W_000/000g001t063r000p000P000h81b10125L000.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191345z3257.57N/11715.38W_000/000g001t063r000p000P000h81b10125L007.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191350z3257.57N/11715.38W_000/000g001t063r000p000P000h81b10125L009.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@191355z3257.57N/11715.38W_000/000g000t063r000p000P000h81b10126L012.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191400z3257.57N/11715.38W_000/000g000t063r000p000P000h81b10126L014.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191405z3257.57N/11715.38W_000/000g001t063r000p000P000h81b10125L019.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191410z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10126L026.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@191415z3257.57N/11715.38W_000/000g000t063r000p000P000h81b10127L033.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191420z3257.57N/11715.38W_000/000g000t063r000p000P000h81b10127L040.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191425z3257.57N/11715.38W_000/000g001t064r000p000P000h81b10128L047.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191430z3257.57N/11715.38W_000/000g002t064r000p000P000h81b10129L054.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@191435z3257.57N/11715.38W_000/000g002t064r000p000P000h80b10130L067.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191440z3257.57N/11715.38W_000/000g002t064r000p000P000h80b10130L070.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@191445z3257.57N/11715.38W_199/000g002t064r000p000P000h80b10129L077.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-4:@191450z3257.57N/11715.38W_000/000g002t065r000p000P000h79b10129L074.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@191455z3257.57N/11715.38W_000/000g002t065r000p000P000h79b10130L083.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191500z3257.57N/11715.38W_000/000g002t065r000p000P000h78b10129L090.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@191505z3257.57N/11715.38W_000/000g001t065r000p000P000h78b10129L097.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191510z3257.57N/11715.38W_000/000g001t065r000p000P000h78b10130L104.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191515z3257.57N/11715.38W_000/000g001t065r000p000P000h77b10131L102.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191520z3257.57N/11715.38W_000/000g002t065r000p000P000h77b10132L104.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@191525z3257.57N/11715.38W_000/000g002t065r000p000P000h77b10132L113.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191530z3257.57N/11715.38W_164/000g002t066r000p000P000h77b10131L123.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191535z3257.57N/11715.38W_000/000g003t066r000p000P000h77b10132L144.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191540z3257.57N/11715.38W_000/000g003t066r000p000P000h77b10132L163.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-7:@191545z3257.57N/11715.38W_000/000g001t066r000p000P000h77b10133L156.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-3:@191550z3257.57N/11715.38W_156/000g002t066r000p000P000h76b10133L163.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-5:@191555z3257.57N/11715.38W_000/000g002t066r000p000P000h76b10133L163.DsWLL
GW1622>APRS,TCPXX*,qAX,CWOP-6:@191600z3257.57N/11715.38W_000/000g002t067r000p000P000h76b10133L169.DsWLL