CW9721>APRS,TCPXX*,qAX,CWOP-7:@202013z3648.00N/07606.00W_040/006g014t075r000p000P000h79b10118L671.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@202018z3648.00N/07606.00W_348/005g014t075r000p000P000h80b10117L272.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@202023z3648.00N/07606.00W_191/004g011t076r000p000P000h79b10116L315.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@202028z3648.00N/07606.00W_005/008g010t075r000p000P000h77b10117L244.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@202034z3648.00N/07606.00W_018/008g012t075r000p000P000h79b10117L250.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@202038z3648.00N/07606.00W_060/006g012t075r000p000P000h79b10117L207.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@202044z3648.00N/07606.00W_009/004g010t075r000p000P000h79b10117L338.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@202048z3648.00N/07606.00W_072/007g010t075r000p000P000h79b10118L283.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@202053z3648.00N/07606.00W_043/008g013t074r000p000P000h79b10119L077.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@202058z3648.00N/07606.00W_360/005g013t073r000p000P000h80b10119L084.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@202119z3648.00N/07606.00W_043/006g009t073r000p000P000h80b10120L047.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@202123z3648.00N/07606.00W_022/004g009t073r000p000P000h81b10120L051.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@202128z3648.00N/07606.00W_061/006g010t073r000p000P000h81b10121L047.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@202134z3648.00N/07606.00W_014/005g009t073r000p000P000h82b10121L044.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@202139z3648.00N/07606.00W_355/009g013t073r000p000P000h80b10121L044.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@202143z3648.00N/07606.00W_034/006g014t073r000p000P000h81b10122L042.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@202149z3648.00N/07606.00W_015/006g014t073r000p000P000h80b10124L047.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@202154z3648.00N/07606.00W_005/008g014t073r000p000P000h81b10124L049.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@202159z3648.00N/07606.00W_360/005g014t073r000p000P000h81b10124L070.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@202203z3648.00N/07606.00W_030/005g010t073r000p000P000h81b10124L062.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@202208z3648.00N/07606.00W_030/006g010t073r000p000P000h81b10123L072.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@202213z3648.00N/07606.00W_034/006g011t073r000p000P000h81b10123L035.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@202219z3648.00N/07606.00W_029/005g011t072r000p000P000h81b10125L028.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@202224z3648.00N/07606.00W_013/007g012t072r000p000P000h81b10125L023.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@202228z3648.00N/07606.00W_015/008g014t072r000p000P000h81b10126L021.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@202233z3648.00N/07606.00W_014/007g014t072r000p000P000h81b10126L018.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@202238z3648.00N/07606.00W_048/005g012t072r000p000P000h82b10127L016.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@202248z3648.00N/07606.00W_028/002g009t071r000p000P000h83b10127L011.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@202253z3648.00N/07606.00W_030/005g009t071r000p000P000h82b10127L009.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@202258z3648.00N/07606.00W_017/004g009t071r000p000P000h83b10127L005.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@202303z3648.00N/07606.00W_050/004g007t071r000p000P000h83b10126L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@202308z3648.00N/07606.00W_005/002g007t071r000p000P000h84b10126L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@202313z3648.00N/07606.00W_350/004g008t071r000p000P000h84b10124L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@202319z3648.00N/07606.00W_063/001g008t071r000p000P000h84b10126L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@202323z3648.00N/07606.00W_334/004g007t071r000p000P000h84b10125L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@202328z3648.00N/07606.00W_025/004g009t070r000p000P000h84b10127L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@202333z3648.00N/07606.00W_024/002g009t070r000p000P000h84b10127L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@202338z3648.00N/07606.00W_037/001g005t070r000p000P000h85b10127L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@202348z3648.00N/07606.00W_040/002g005t070r000p000P000h85b10130L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@202353z3648.00N/07606.00W_033/001g005t070r000p000P000h85b10130L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210004z3648.00N/07606.00W_037/001g006t070r000p000P000h86b10131L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210009z3648.00N/07606.00W_064/001g007t070r000p000P000h86b10130L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210014z3648.00N/07606.00W_057/000g005t070r000p000P000h86b10131L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210018z3648.00N/07606.00W_033/001g005t070r000p000P000h86b10132L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210024z3648.00N/07606.00W_009/001g005t070r000p000P000h86b10132L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210028z3648.00N/07606.00W_009/001g003t070r000p000P000h86b10133L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210033z3648.00N/07606.00W_028/004g006t070r000p000P000h87b10133L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210038z3648.00N/07606.00W_032/000g006t070r000p000P000h87b10133L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210043z3648.00N/07606.00W_042/002g003t069r000p000P000h87b10133L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210048z3648.00N/07606.00W_040/001g004t069r000p000P000h87b10133L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210053z3648.00N/07606.00W_015/001g006t069r000p000P000h87b10135L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210058z3648.00N/07606.00W_029/002g006t069r000p000P000h87b10135L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210103z3648.00N/07606.00W_360/003g005t069r000p000P000h87b10135L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210108z3648.00N/07606.00W_016/001g005t069r000p000P000h87b10136L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210113z3648.00N/07606.00W_061/001g005t069r000p000P000h87b10136L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210118z3648.00N/07606.00W_049/000g005t069r000p000P000h87b10137L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210123z3648.00N/07606.00W_042/001g004t069r000p000P000h87b10136L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210128z3648.00N/07606.00W_341/000g002t069r000p000P000h87b10137L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210133z3648.00N/07606.00W_034/001g002t069r000p000P000h87b10137L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210138z3648.00N/07606.00W_057/001g004t069r000p000P000h87b10136L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210143z3648.00N/07606.00W_048/002g004t069r000p000P000h87b10137L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210148z3648.00N/07606.00W_065/001g007t069r000p000P000h87b10137L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210154z3648.00N/07606.00W_341/002g007t069r000p000P000h87b10137L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210158z3648.00N/07606.00W_005/002g007t069r000p000P000h87b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210203z3648.00N/07606.00W_072/002g006t069r000p000P000h88b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210208z3648.00N/07606.00W_028/003g007t069r000p000P000h88b10137L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210214z3648.00N/07606.00W_091/003g007t069r000p000P000h88b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210224z3648.00N/07606.00W_059/001g006t069r000p000P000h88b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210228z3648.00N/07606.00W_024/001g004t069r000p000P000h88b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210233z3648.00N/07606.00W_342/002g006t069r000p000P000h88b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210238z3648.00N/07606.00W_360/001g006t069r000p000P000h88b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210243z3648.00N/07606.00W_032/001g005t069r000p000P000h88b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210248z3648.00N/07606.00W_350/004g006t069r000p000P000h88b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210253z3648.00N/07606.00W_026/002g006t069r000p000P000h88b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210304z3648.00N/07606.00W_009/001g007t069r000p000P000h88b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210309z3648.00N/07606.00W_348/001g005t069r000p000P000h88b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210313z3648.00N/07606.00W_049/002g004t069r000p000P000h88b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210318z3648.00N/07606.00W_089/000g004t069r000p000P000h88b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210323z3648.00N/07606.00W_040/000g001t069r000p000P000h88b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210328z3648.00N/07606.00W_107/001g003t068r000p000P000h88b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210333z3648.00N/07606.00W_056/001g004t068r000p000P000h88b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210338z3648.00N/07606.00W_032/001g004t068r000p000P000h88b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210343z3648.00N/07606.00W_022/000g002t068r000p000P000h88b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210348z3648.00N/07606.00W_038/001g002t068r000p000P000h89b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210353z3648.00N/07606.00W_042/001g002t068r000p000P000h89b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210359z3648.00N/07606.00W_042/000g002t068r000p000P000h89b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210403z3648.00N/07606.00W_044/000g002t068r000p000P000h89b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210408z3648.00N/07606.00W_038/000g002t068r000p000P000h89b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210413z3648.00N/07606.00W_038/000g002t068r000p000P000h89b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210415z3650.16N/07603.39W_038/000g002t067r000p000P000h89b10139L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210418z3648.00N/07606.00W_038/000g001t068r000p000P000h89b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210423z3648.00N/07606.00W_038/000g000t068r000p000P000h89b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210428z3648.00N/07606.00W_038/000g000t067r000p000P000h89b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210430z3650.16N/07603.39W_038/000g000t067r000p000P000h89b10139L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210433z3648.00N/07606.00W_038/000g000t067r000p000P000h89b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210438z3648.00N/07606.00W_038/000g000t067r000p000P000h89b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210443z3648.00N/07606.00W_038/000g000t067r000p000P000h89b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210445z3650.16N/07603.39W_038/000g000t066r000p000P000h89b10137L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210448z3648.00N/07606.00W_038/000g000t067r000p000P000h89b10138L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210453z3648.00N/07606.00W_038/000g000t067r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210458z3648.00N/07606.00W_038/000g000t066r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210501z3650.16N/07603.39W_038/000g000t066r000p000P000h90b10139L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210508z3648.00N/07606.00W_038/000g000t066r000p000P000h90b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210513z3648.00N/07606.00W_038/000g000t066r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210516z3650.16N/07603.39W_038/000g000t065r000p000P000h90b10139L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210518z3648.00N/07606.00W_038/000g000t066r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210523z3648.00N/07606.00W_038/000g000t066r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210528z3648.00N/07606.00W_038/000g000t066r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210530z3650.16N/07603.39W_038/000g000t065r000p000P000h90b10139L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210533z3648.00N/07606.00W_038/000g000t066r000p000P000h90b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210538z3648.00N/07606.00W_038/000g000t065r000p000P000h90b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210543z3648.00N/07606.00W_038/000g000t065r000p000P000h90b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210545z3650.16N/07603.39W_038/000g000t065r000p000P000h90b10139L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210548z3648.00N/07606.00W_038/000g000t065r000p000P000h90b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210553z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210558z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210600z3650.16N/07603.39W_038/000g000t065r000p000P000h91b10140L000.DsIP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210603z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210608z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210613z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210619z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210624z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210629z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210634z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210638z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210649z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210653z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210658z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210703z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210708z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210713z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210718z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210723z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210728z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210733z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210738z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210744z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210754z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210759z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210804z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210809z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210814z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210819z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210823z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210828z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210833z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210838z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210843z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@210848z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210854z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210858z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210903z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210908z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210913z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210918z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210924z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10139L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@210928z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10140L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@210933z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210938z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210943z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@210949z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210954z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10141L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@210959z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211004z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211009z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10142L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211014z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10143L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211018z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10144L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211023z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10144L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211028z3648.00N/07606.00W_038/000g000t063r000p000P000h91b10144L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211034z3648.00N/07606.00W_038/000g001t063r000p000P000h91b10144L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211039z3648.00N/07606.00W_038/000g001t064r000p000P000h91b10145L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211044z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10146L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211049z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10146L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211054z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10147L000.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211058z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10147L005.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211103z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10147L007.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211108z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10147L011.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211113z3648.00N/07606.00W_038/000g000t064r000p000P000h91b10147L012.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211119z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10147L016.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211123z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10147L018.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211134z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10148L025.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211139z3648.00N/07606.00W_038/000g000t065r000p000P000h91b10149L033.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211159z3648.00N/07606.00W_038/000g000t066r000p000P000h91b10148L079.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211203z3648.00N/07606.00W_038/000g002t066r000p000P000h91b10149L077.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211208z3648.00N/07606.00W_038/000g002t066r000p000P000h91b10149L095.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211213z3648.00N/07606.00W_038/000g001t067r000p000P000h91b10149L120.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211218z3648.00N/07606.00W_038/000g000t067r000p000P000h91b10150L113.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211223z3648.00N/07606.00W_038/000g000t067r000p000P000h91b10151L120.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211228z3648.00N/07606.00W_342/001g002t068r000p000P000h91b10151L121.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211234z3648.00N/07606.00W_314/001g005t068r000p000P000h91b10151L141.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211239z3648.00N/07606.00W_341/002g005t068r000p000P000h91b10150L171.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211243z3648.00N/07606.00W_360/003g005t068r000p000P000h91b10151L176.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211248z3648.00N/07606.00W_331/004g007t068r000p000P000h91b10152L163.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211253z3648.00N/07606.00W_345/003g007t069r000p000P000h91b10151L142.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211258z3648.00N/07606.00W_294/001g005t069r000p000P000h91b10152L148.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211308z3648.00N/07606.00W_355/003g006t070r000p000P000h90b10151L239.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211313z3648.00N/07606.00W_005/003g007t070r000p000P000h89b10151L547.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211318z3648.00N/07606.00W_335/003g007t071r000p000P000h87b10151L239.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211323z3648.00N/07606.00W_343/002g006t071r000p000P000h86b10153L427.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211328z3648.00N/07606.00W_338/004g008t072r000p000P000h85b10153L230.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211339z3648.00N/07606.00W_355/005g008t072r000p000P000h83b10154L211.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211343z3648.00N/07606.00W_325/005g008t073r000p000P000h82b10154L272.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211348z3648.00N/07606.00W_032/003g008t073r000p000P000h82b10153L278.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211353z3648.00N/07606.00W_303/003g007t073r000p000P000h81b10153L294.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211358z3648.00N/07606.00W_360/004g008t073r000p000P000h80b10152L295.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211403z3648.00N/07606.00W_335/003g008t073r000p000P000h81b10153L295.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211408z3648.00N/07606.00W_342/004g006t073r000p000P000h80b10152L229.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211413z3648.00N/07606.00W_331/004g007t073r000p000P000h80b10151L380.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211418z3648.00N/07606.00W_340/002g007t074r000p000P000h81b10151L684.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211423z3648.00N/07606.00W_355/001g007t074r000p000P000h80b10150L619.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211429z3648.00N/07606.00W_247/002g006t075r000p000P000h78b10151L707.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211434z3648.00N/07606.00W_026/004g006t076r000p000P000h77b10151L691.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211439z3648.00N/07606.00W_341/002g007t076r000p000P000h75b10151L643.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211443z3648.00N/07606.00W_028/005g007t076r000p000P000h75b10151L689.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211448z3648.00N/07606.00W_315/003g008t076r000p000P000h75b10152L262.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211453z3648.00N/07606.00W_321/003g008t075r000p000P000h75b10152L206.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211458z3648.00N/07606.00W_326/004g008t075r000p000P000h76b10151L737.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211503z3648.00N/07606.00W_346/002g008t075r000p000P000h76b10151L352.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211508z3648.00N/07606.00W_005/002g005t076r000p000P000h76b10150L155.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211513z3648.00N/07606.00W_182/001g005t076r000p000P000h75b10150L805.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211518z3648.00N/07606.00W_323/003g006t076r000p000P000h74b10150L197.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211523z3648.00N/07606.00W_011/003g007t076r000p000P000h74b10150L770.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211529z3648.00N/07606.00W_022/004g007t076r000p000P000h75b10150L784.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211533z3648.00N/07606.00W_157/002g007t076r000p000P000h75b10150L779.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211538z3648.00N/07606.00W_276/004g008t076r000p000P000h73b10149L780.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211549z3648.00N/07606.00W_013/002g006t077r000p000P000h72b10147L809.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211553z3648.00N/07606.00W_288/004g007t077r000p000P000h72b10147L809.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211558z3648.00N/07606.00W_009/001g007t078r000p000P000h73b10146L828.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211603z3648.00N/07606.00W_026/004g007t078r000p000P000h70b10145L257.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211608z3648.00N/07606.00W_259/002g007t077r000p000P000h71b10146L163.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211613z3648.00N/07606.00W_299/002g007t077r000p000P000h72b10145L162.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211623z3648.00N/07606.00W_355/002g006t078r000p000P000h71b10144L858.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211628z3648.00N/07606.00W_059/003g008t078r000p000P000h69b10143L854.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211633z3648.00N/07606.00W_017/001g008t078r000p000P000h69b10142L858.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211644z3648.00N/07606.00W_211/002g006t079r000p000P000h67b10140L867.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211648z3648.00N/07606.00W_265/002g005t079r000p000P000h66b10140L830.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211653z3648.00N/07606.00W_005/006g008t080r000p000P000h65b10139L842.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211703z3648.00N/07606.00W_034/001g006t080r000p000P000h68b10139L853.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211708z3648.00N/07606.00W_270/004g007t080r000p000P000h65b10140L937.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211713z3648.00N/07606.00W_040/002g007t080r000p000P000h66b10139L237.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211718z3648.00N/07606.00W_036/004g008t079r000p000P000h67b10139L232.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211723z3648.00N/07606.00W_103/002g007t078r000p000P000h71b10138L921.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211728z3648.00N/07606.00W_360/002g005t079r000p000P000h69b10138L888.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211734z3648.00N/07606.00W_296/003g007t079r000p000P000h66b10138L896.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211739z3648.00N/07606.00W_355/007g012t079r000p000P000h69b10138L888.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211744z3648.00N/07606.00W_024/005g012t079r000p000P000h67b10137L473.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211748z3648.00N/07606.00W_036/001g008t078r000p000P000h68b10137L179.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211753z3648.00N/07606.00W_021/005g008t079r000p000P000h67b10138L789.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211758z3648.00N/07606.00W_059/003g008t079r000p000P000h66b10135L682.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211803z3648.00N/07606.00W_306/005g008t079r000p000P000h67b10135L659.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211808z3648.00N/07606.00W_051/003g008t079r000p000P000h67b10134L661.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211813z3648.00N/07606.00W_089/004g008t079r000p000P000h66b10134L680.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211819z3648.00N/07606.00W_005/002g006t080r000p000P000h66b10135L471.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211824z3648.00N/07606.00W_124/004g006t080r000p000P000h66b10134L710.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211828z3648.00N/07606.00W_074/002g007t080r000p000P000h68b10132L715.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211833z3648.00N/07606.00W_134/004g008t080r000p000P000h67b10132L705.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211838z3648.00N/07606.00W_360/001g008t080r000p000P000h68b10131L708.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211843z3648.00N/07606.00W_017/006g010t080r000p000P000h67b10132L719.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211848z3648.00N/07606.00W_067/005g010t080r000p000P000h69b10131L735.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211853z3648.00N/07606.00W_119/002g010t079r000p000P000h70b10131L708.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211859z3648.00N/07606.00W_015/004g009t080r000p000P000h69b10131L700.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211908z3648.00N/07606.00W_025/003g009t079r000p000P000h71b10130L661.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-6:@211913z3648.00N/07606.00W_023/004g009t078r000p000P000h70b10130L640.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@211918z3648.00N/07606.00W_089/005g010t078r000p000P000h71b10130L582.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-4:@211923z3648.00N/07606.00W_285/001g010t078r000p000P000h72b10130L088.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211928z3648.00N/07606.00W_132/005g010t078r000p000P000h72b10130L090.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211933z3648.00N/07606.00W_183/003g010t077r000p000P000h72b10130L077.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211938z3648.00N/07606.00W_024/004g007t077r000p000P000h73b10129L077.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-5:@211943z3648.00N/07606.00W_040/004g008t077r000p000P000h74b10128L188.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211954z3648.00N/07606.00W_090/002g007t076r000p000P000h74b10127L095.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-3:@211959z3648.00N/07606.00W_113/004g007t076r000p000P000h74b10127L128.DsVP
CW9721>APRS,TCPXX*,qAX,CWOP-7:@212003z3648.00N/07606.00W_023/001g010t076r000p000P000h74b10127L081.DsVP