W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KB9WZM-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KB9WZM-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,W9LTA-10,N0MR-11*,WIDE2,qAR,KNFRVR:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KB9WZM-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,KD9UWG-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,W9LTA-10,WIDE1*,WIDE2-1,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,K9IQP-13:`s=!l -/`_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KB9WZM-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1*,WIDE2-1,qAR,NJ9V-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,K9IQP-13*,WIDE2-1,qAR,K9TDB-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KB9WZM-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,K9IQP-13,WI9HRO-1,WIDE2*,qAR,KB9OIV-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KD9VQI-10,WIDE1,MACCTY,WIDE2*,qAR,NJ9V-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,W9LTA-10,WIDE1,K9MLD-8,WIDE2*,qAR,KNFRVR:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,KD9UWG-1:`s=!l -/`_)
W9AH>TTPY7S,KD9VQI-9,WIDE1*,WIDE2-1,qAR,NJ9V-1:`s=!l -/`_)
W9AH>TTPY7S,KD9UWG-1,WIDE1,K8WNJ-1*,WIDE2,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,KA9BAB-7,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KD9VQI-9,WIDE1,WI9HRO-1,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KD9VQI-9,WIDE1,W9LRT-3,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,KD9VQI-9,WIDE1,W9LTA-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,W9LTA-10,WIDE1*,WIDE2-1,qAR,NJ9V-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KD9VQI-10,WIDE1,W9AUV,WIDE2*,qAR,K9GCP:`s=!l -/`Condi,ion Green_)
W9AH>TTPY7S,KA9BAB-7,K8WNJ-1*,WIDE2,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,W9LTA-10,WIDE1,W0GN-1,WIDE2*,qAR,KW2DX-3:`s=!l -/`Condition Green_)
W9AH>TTPY7S,W9LTA-10,WIDE1,K9MLD-8,WIDE2*,qAR,KNFRVR:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,KD9VQI-9,WIDE1,W9LRT-3,WIDE2*,qAR,K9NYO-10:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,KD9UWG-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KD9VQI-10,WIDE1,K8LOD-3,WIDE2*,qAR,NJ9V-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KD9VQI-10,WIDE1,K8LOD-3,WIDE2*,qAR,NJ9V-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,AB9RP-1,WIDE1,N9LKH-1,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,W9LTA-10,WIDE1,K9MLD-8,WIDE2*,qAR,KNFRVR:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,N9LKH-1,WIDE1,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KB9WZM-10,WIDE2*,qAR,KW2DX-3:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KD9UWG-1,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,N9LKH-1,WIDE1,KE8OOD-10,WIDE2*,qAR,NJ9V-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`_)
W9AH>TTPY7S,KD9VQI-10,WIDE1,K8OEC-10,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KD9UWG-1,WIDE1,K8WNJ-1*,WIDE2,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,AB9RP-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,K8WNJ-1*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,KD9UWG-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,N9LKH-1,WIDE1,WIDE2*,qAR,W9CQO-1:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KD9VQI-10,WIDE1,KE8OOD-10,WIDE2*,qAR,NJ9V-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1*,WIDE2-1,qAR,W9CQO-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAO,KB9OIV-2:`s=!l -/`_)
W9AH>TTPY7S,KD9VQI-10,WIDE1,KE8OOD-10,WIDE2*,qAR,NJ9V-1:`s=!l -/`_)
W9AH>TTPY7S,W9LTA-10,WIDE1,KD9EJA-10,W0PZT-1,WIDE2*,qAR,W0ANM-2:`s=!l -/`_)
W9AH>TTPY7S,WIDE1-1,WIDE2-1,qAR,KD9UWG-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,KD9VQI-10,WIDE1,KE8OOD-10,WIDE2*,qAR,NJ9V-1:`s=!l -/`Condition Green_)
W9AH>TTPY7S,N9LKH-1,WIDE1,WI9HRO-1,WIDE2*,qAR,W9CQO-1:`s=!l -/`Condition Green_)