DW7102>APRS,TCPXX*,qAX,CWOP-3:@200307z4825.95N/07101.39W_125/001g003t062r000p000P000b10184h77L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@200332z4825.95N/07101.39W_125/002g005t061r000p000P000b10185h78L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-4:@200402z4825.95N/07101.39W_125/000g003t059r000p000P000b10187h81L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-4:@200507z4825.95N/07101.39W_125/000g001t057r000p000P000b10189h82L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@200532z4825.95N/07101.39W_125/001g002t057r000p000P000b10188h84L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-3:@200632z4825.95N/07101.39W_125/001g003t056r000p000P000b10186h82L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@200732z4825.95N/07101.39W_125/000g002t056r000p000P000b10189h82L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-4:@200832z4825.95N/07101.39W_125/000g002t056r000p000P000b10189h84L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@200907z4825.95N/07101.39W_125/002g003t057r000p000P000b10192h83L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@200932z4825.95N/07101.39W_124/005g012t059r000p000P000b10192h74L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-4:@201002z4825.95N/07101.39W_148/004g014t059r000p000P000b10193h74L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-4:@201202z4825.95N/07101.39W_130/005g010t061r000p000P000b10198h75L192.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@201237z4825.95N/07101.39W_101/005g012t063r000p000P000b10199h74L236.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-3:@201302z4825.95N/07101.39W_120/008g018t064r000p000P000b10202h73L336.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@201407z4825.95N/07101.39W_101/009g015t064r000p000P000b10203h74L480.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-3:@201432z4825.95N/07101.39W_118/009g020t064r000p000P000b10202h73L575.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-4:@201502z4825.95N/07101.39W_110/009g022t066r000p000P000b10200h71L638.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@201507z4825.95N/07101.39W_110/010g020t066r000p000P000b10200h71L645.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@201532z4825.95N/07101.39W_117/009g017t067r000p000P000b10197h68L253.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-3:@201602z4825.95N/07101.39W_105/010g020t067r000p000P000b10194h68L158.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-3:@201607z4825.95N/07101.39W_105/013g018t067r000p000P000b10195h68L053.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@201702z4825.95N/07101.39W_092/011g021t067r000p000P000b10192h67L049.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@201807z4825.95N/07101.39W_117/009g017t068r000p000P000b10188h66L042.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-4:@202007z4825.95N/07101.39W_113/006g015t069r000p000P000b10180h64L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@202032z4825.95N/07101.39W_111/007g015t069r000p000P000b10179h63L032.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@202132z4825.95N/07101.39W_108/006g014t069r000p000P000b10180h63L025.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-4:@202137z4825.95N/07101.39W_121/006g012t069r000p000P000b10180h63L025.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-4:@202202z4825.95N/07101.39W_126/006g013t068r000p000P000b10180h64L018.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@202207z4825.95N/07101.39W_138/009g015t068r000p000P000b10180h64L016.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-3:@202232z4825.95N/07101.39W_112/005g013t067r000p000P000b10182h65L007.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@202332z4825.95N/07101.39W_122/001g007t065r000p000P000b10185h68L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@210002z4825.95N/07101.39W_122/002g007t064r000p000P000b10187h68L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-4:@210037z4825.95N/07101.39W_123/002g005t063r000p000P000b10189h70L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-5:@210102z4825.95N/07101.39W_129/004g008t062r000p000P000b10192h72L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-3:@210137z4825.95N/07101.39W_122/004g010t061r000p000P000b10194h72L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-4:@210202z4825.95N/07101.39W_117/001g008t060r000p000P000b10195h72L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-3:@210207z4825.95N/07101.39W_117/002g006t060r000p000P000b10195h73L000.WD 31
DW7102>APRS,TCPXX*,qAX,CWOP-4:@210237z4825.95N/07101.39W_121/005g008t059r000p000P000b10196h73L000.WD 31